Aktuální adresář: FITkit /
trunk /
apps /
games /
pexeso /
fpga /
symbol_decoder.vhd
1 -- Pexeso - simple pure FPGA game
2 -- Author: Vojtech Mrazek (xmraze06)
3
4 library IEEE;
5 use IEEE.std_logic_1164.ALL;
6 use ieee.std_logic_arith.all;
7 use ieee.std_logic_unsigned.all;
8 use work.vga_controller_cfg.all;
9 use work.clkgen_cfg.all;
10
11 entity symbol_decoder is
12 port (
13 CLK : in std_logic;
14 SYMBOL : in std_logic_vector(3 downto 0);
15
16 ROW : in std_logic_vector(4 downto 0);
17 COL : in std_logic_vector(4 downto 0);
18
19 COLOR : out std_logic_vector(3 downto 0)
20 );
21 end symbol_decoder;
22
23 architecture behv of symbol_decoder is
24 -- type t_val is array((32*32-1) downto 0) of std_logic_vector(2 downto 0);
25 -- type t_mem is array(7 downto 0) of t_val;
26 -- constant rom : t_mem := (
27 -- -- fitkit
28 -- 0 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","011","011","011","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","111","010","010","010","010","010","010","010","010","111","010","000","000","000","000","000","000","000","000","000","000","000","011","011","011","010","010","010","010","010","010","010","111","010","010","111","111","111","111","111","111","111","010","011","011","011","000","000","000","000","000","000","000","000","000","000","000","010","111","111","111","111","111","111","111","010","010","010","010","010","010","010","010","111","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","111","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","011","011","011","010","010","010","010","010","010","010","111","010","010","010","010","010","010","010","010","010","010","011","011","011","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","111","111","111","111","111","111","111","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","111","111","111","111","111","111","111","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","011","011","011","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","011","011","011","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","111","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","111","111","010","010","010","111","111","010","010","010","010","010","010","111","010","111","010","000","000","000","000","000","000","000","000","000","000","000","011","011","011","010","010","010","111","010","111","010","010","010","010","111","111","111","111","111","111","111","010","011","011","011","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","111","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","111","111","111","111","111","111","111","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","011","011","011","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
29 -- -- lorry
30 -- 1 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","000","000","000","000","000","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","000","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","000","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","000","000","000","000","000","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","000","011","011","011","011","011","011","011","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","000","011","011","011","011","000","000","000","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","000","000","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","000","000","000","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","011","011","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","000","000","000","000","000","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","110","110","110","110","110","110","100","100","100","100","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","110","110","110","110","110","110","100","100","100","100","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","110","110","110","110","110","110","110","100","100","100","100","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","100","100","100","100","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","100","100","100","100","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","100","100","100","100","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
31 -- -- building
32 -- 2 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","100","100","100","100","100","100","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","100","100","100","100","100","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","100","100","100","100","011","011","011","011","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","100","100","100","100","011","011","011","011","100","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","100","100","100","100","011","011","011","011","100","100","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","100","100","100","100","011","011","011","011","100","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","100","100","100","100","011","011","011","011","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
33 -- -- apple tree
34 -- 3 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","011","110","110","110","011","110","110","110","110","011","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","011","110","110","011","110","110","110","110","110","110","011","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","100","100","100","100","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","011","110","110","000","000","000","000","000","000","000","000","000","100","100","100","100","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","100","100","100","100","110","110","110","011","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","011","110","110","110","110","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","110","011","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","011","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
35 -- -- fish
36 -- 4 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","001","001","001","001","001","001","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","001","001","001","001","100","001","001","001","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","001","001","001","100","100","100","001","001","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","001","001","001","100","100","100","001","001","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","001","001","001","001","001","001","001","001","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","001","001","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","101","101","101","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","101","101","101","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","101","101","101","101","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","101","101","101","101","101","101","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","101","101","101","101","101","101","101","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","101","101","101","101","101","101","101","101","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
37 -- -- apple
38 -- 5 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","011","011","000","000","000","000","000","000","000","000","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","110","011","011","000","000","000","000","000","000","000","000","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","100","110","110","110","110","011","011","011","000","000","000","000","000","000","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","100","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","100","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","100","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","000","000","000","000","110","000","000","000","000","000","000","000","000","000","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","000","000","000","110","110","110","000","000","000","000","000","000","000","000","000","000","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","000","000","110","110","110","000","000","000","000","000","000","000","000","000","000","000","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","100","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","100","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","100","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","100","110","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","110","011","011","011","011","011","011","011","011","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","110","110","011","011","011","011","011","011","011","011","110","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","110","110","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","110","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
39 -- -- smile
40 -- 6 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","100","100","100","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","100","100","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","100","100","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","100","100","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","100","100","100","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","100","100","100","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","011","011","011","011","011","011","011","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000"),
41 -- -- windows
42 -- 7 => ("000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","011","011","011","011","011","011","011","010","110","110","110","110","110","110","110","110","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","011","011","011","011","011","011","010","010","110","110","110","110","110","110","110","110","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","011","011","011","011","011","011","011","010","010","110","110","110","110","110","110","110","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","011","011","011","011","011","011","011","010","010","110","110","110","110","110","110","110","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","100","100","100","100","100","100","100","010","010","011","011","011","011","011","011","011","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","100","100","100","100","100","100","010","010","010","011","011","011","011","011","011","011","010","010","000","000","000","000","000","000","000","000","000","000","000","010","010","100","100","100","100","100","100","100","010","010","011","011","011","011","011","011","011","010","010","010","000","000","000","000","000","000","000","000","000","000","000","010","010","100","100","100","100","100","100","010","010","011","011","011","011","011","011","011","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","000","100","000","100","000","010","010","000","011","011","000","011","011","000","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","000","100","000","100","000","010","010","000","011","011","000","011","011","000","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","000","100","000","100","000","010","010","000","011","011","000","011","011","000","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","010","000","100","100","000","100","000","010","000","000","011","011","000","011","011","000","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","000","100","100","000","100","100","000","010","010","000","011","000","011","011","000","010","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","010","000","100","100","000","100","000","010","000","000","011","000","011","011","000","010","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000","000")
43 -- );
44 --
45 -- -- FIT
46 --constant back : t_val := ("111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","001","001","001","111","111","111","111","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","001","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111","111");
47 constant back : std_logic_vector(32*32-1 downto 0) := "1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110001111111111111111111111111111100011111111111111111111111111111000111111111110000000000000000000001111111111100000000000000000000011111111111000000000000000000000111111111111111111111111111110001111111111111111111111111111100011111111111111111111111111111000111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000111111111110000000000000000000001111111111100000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111000111100011111111111111111111110001111000111111111111111111111100011110001111111111111111111111000111100011111111111111111111110001111000111111111110000000000000000000001111111111100000000000000000000011111111111000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111";
48 -- begin
49 -- process
50 -- variable hodnota : t_val;
51 -- begin
52 -- if SYMBOL(3) = '0' then
53 -- hodnota := rom(conv_integer(SYMBOL(2 downto 0)));
54 -- else
55 -- hodnota := back;
56 -- end if;
57 -- COLOR <= "0" & hodnota(conv_integer(COL & ROW));
58 -- end process;
59
60 begin
61 process
62 begin
63 if SYMBOL(3) = '0' then
64 COLOR <= SYMBOL;
65 else
66 if back(conv_integer(COL & ROW)) = '1' then
67 COLOR <= "1111";
68 else
69 COLOR <= "1010";
70 end if;
71 end if;
72 --COLOR <= "0" & hodnota(conv_integer(COL & ROW));
73 end process;
74
75 end;